SPEC CPU®2017 Floating Point Rate Result

Copyright 2017-2021 Standard Performance Evaluation Corporation

Cisco Systems

Cisco UCS C225 M6 (AMD EPYC 7643 48-Core
Processor)

SPECrate®2017_fp_base = 57600

SPECrate®2017_fp_peak = 61700

CPU2017 License: 9019 Test Date: Sep-2021
Test Sponsor: Cisco Systems Hardware Availability: Jun-2021
Tested by: Cisco Systems Software Availability: Jun-2021

Benchmark result graphs are available in the PDF report.

Hardware
CPU Name: AMD EPYC 7643
  Max MHz: 3600
  Nominal: 2300
Enabled: 96 cores, 2 chips, 2 threads/core
Orderable: 1,2 chips
Cache L1: 32 KB I + 32 KB D on chip per core
  L2: 512 KB I+D on chip per core
  L3: 256 MB I+D on chip per chip,
32 MB shared / 6 cores
  Other: None
Memory: 2 TB (16 x 128 GB 4Rx4 PC4-3200V-L)
Storage: 1 x 960 GB M.2 SSD SATA
Other: None
Software
OS: SUSE Linux Enterprise Server 15 SP3 (x86_64)
kernel version 5.3.18-57-default
Compiler: C/C++/Fortran: Version 3.0.0 of AOCC
Parallel: No
Firmware: Version C225M6.4.2.1c released Sep-2021
File System: xfs
System State: Run level 3 (multi-user)
Base Pointers: 64-bit
Peak Pointers: 64-bit
Other: jemalloc: jemalloc memory allocator library v5.1.0
Power Management: BIOS and OS set to prefer performance at the cost
of additional power usage

Results Table

Benchmark Base Peak
Copies Seconds Ratio Seconds Ratio Seconds Ratio Copies Seconds Ratio Seconds Ratio Seconds Ratio
SPECrate®2017_fp_base 57600
SPECrate®2017_fp_peak 61700
Results appear in the order in which they were run. Bold underlined text indicates a median measurement.
503.bwaves_r 96 12360 779 12360 779 12360 779 48 593 811 594 810 593 811
507.cactuBSSN_r 96 1670 726 1690 720 1680 722 96 167 726 169 720 168 722
508.namd_r 96 2090 436 2090 437 2090 436 192 356 512 356 512 357 511
510.parest_r 96 3290 762 3300 761 3300 762 96 328 765 329 764 328 765
511.povray_r 96 3300 679 3310 678 3320 675 192 578 776 580 773 579 774
519.lbm_r 96 5330 190 5330 190 5330 190 96 533 190 533 190 533 190
521.wrf_r 96 3480 618 3500 615 3480 618 96 348 618 350 615 348 618
526.blender_r 96 2230 654 2250 649 2230 654 192 391 748 391 748 393 744
527.cam4_r 96 2650 634 2660 631 2650 633 96 262 640 262 640 262 640
538.imagick_r 96 98.7 2420 98.8 2420 98.7 2420 192 170 2800 172 2780 171 2800
544.nab_r 96 1890 856 1920 841 1920 841 192 312 1040 312 1030 312 1030
549.fotonik3d_r 96 14370 260 14370 260 14370 260 48 717 261 720 260 714 262
554.roms_r 96 6420 238 6420 238 6450 237 48 307 249 306 249 308 248

Compiler Notes

The AMD64 AOCC Compiler Suite is available at
http://developer.amd.com/amd-aocc/

Submit Notes

The config file option 'submit' was used.
'numactl' was used to bind copies to the cores.
See the configuration file for details.

Operating System Notes

'ulimit -s unlimited' was used to set environment stack size limit
'ulimit -l 2097152' was used to set environment locked pages in memory limit
runcpu command invoked through numactl i.e.:
numactl --interleave=all runcpu <etc>
'echo 8 > /proc/sys/vm/dirty_ratio' run as root to limit dirty cache to 8% of
memory.
'echo 1 > /proc/sys/vm/swappiness' run as root to limit swap usage to minimum
necessary.
'echo 1 > /proc/sys/vm/zone_reclaim_mode' run as root to free node-local memory
and avoid remote memory usage.
'sync; echo 3 > /proc/sys/vm/drop_caches' run as root to reset filesystem caches.
'sysctl -w kernel.randomize_va_space=0' run as root to disable address space layout
randomization (ASLR) to reduce run-to-run variability.
'echo always > /sys/kernel/mm/transparent_hugepage/enabled' and
'echo always > /sys/kernel/mm/transparent_hugepage/defrag' run as root for peak
integer runs and all FP runs to enable Transparent Hugepages (THP).
'cpupower frequency-set -g performance' run as root to set the scaling governor to
performance.

Environment Variables Notes

Environment variables set by runcpu before the start of the run:
LD_LIBRARY_PATH =
     "/home/cpu2017/amd_rate_aocc300_milan_B_lib/lib;/home/cpu2017/amd_rate_a
     occ300_milan_B_lib/lib32:"
MALLOC_CONF = "retain:true"

General Notes

Binaries were compiled on a system with 2x AMD EPYC 7742 CPU + 1TiB Memory using OpenSUSE 15.2

NA: The test sponsor attests, as of date of publication, that CVE-2017-5754 (Meltdown)
is mitigated in the system as tested and documented.
Yes: The test sponsor attests, as of date of publication, that CVE-2017-5753 (Spectre variant 1)
is mitigated in the system as tested and documented.
Yes: The test sponsor attests, as of date of publication, that CVE-2017-5715 (Spectre variant 2)
is mitigated in the system as tested and documented.

jemalloc: configured and built with GCC v4.8.2 in RHEL 7.4 (No options specified)
jemalloc 5.1.0 is available here:
https://github.com/jemalloc/jemalloc/releases/download/5.1.0/jemalloc-5.1.0.tar.bz2

Platform Notes

BIOS Configuration
 SMT Mode set to Auto
 NUMA nodes per socket set to NPS4
 ACPI SRAT L3 Cache As NUMA Domain set to Enabled
 DRAM Scrub Time set to Disabled
 Determinism Slider set to Power
 Memory Interleaving set to Auto
 APBDIS set to 1

 Sysinfo program /home/cpu2017/bin/sysinfo
 Rev: r6622 of 2021-04-07 982a61ec0915b55891ef0e16acafc64d
 running on localhost Fri Sep 17 10:51:13 2021

 SUT (System Under Test) info as seen by some common utilities.
 For more information on this section, see
    https://www.spec.org/cpu2017/Docs/config.html#sysinfo

 From /proc/cpuinfo
    model name : AMD EPYC 7643 48-Core Processor
       2  "physical id"s (chips)
       192 "processors"
    cores, siblings (Caution: counting these is hw and system dependent. The following
    excerpts from /proc/cpuinfo might not be reliable.  Use with caution.)
       cpu cores : 48
       siblings  : 96
       physical 0: cores 0 1 2 3 4 5 8 9 10 11 12 13 16 17 18 19 20 21 24 25 26 27 28 29
       32 33 34 35 36 37 40 41 42 43 44 45 48 49 50 51 52 53 56 57 58 59 60 61
       physical 1: cores 0 1 2 3 4 5 8 9 10 11 12 13 16 17 18 19 20 21 24 25 26 27 28 29
       32 33 34 35 36 37 40 41 42 43 44 45 48 49 50 51 52 53 56 57 58 59 60 61

 From lscpu from util-linux 2.36.2:
      Architecture:                    x86_64
      CPU op-mode(s):                  32-bit, 64-bit
      Byte Order:                      Little Endian
      Address sizes:                   48 bits physical, 48 bits virtual
      CPU(s):                          192
      On-line CPU(s) list:             0-191
      Thread(s) per core:              2
      Core(s) per socket:              48
      Socket(s):                       2
      NUMA node(s):                    16
      Vendor ID:                       AuthenticAMD
      CPU family:                      25
      Model:                           1
      Model name:                      AMD EPYC 7643 48-Core Processor
      Stepping:                        1
      Frequency boost:                 enabled
      CPU MHz:                         1796.302
      CPU max MHz:                     2300.0000
      CPU min MHz:                     1500.0000
      BogoMIPS:                        4591.15
      Virtualization:                  AMD-V
      L1d cache:                       3 MiB
      L1i cache:                       3 MiB
      L2 cache:                        48 MiB
      L3 cache:                        512 MiB
      NUMA node0 CPU(s):               0-5,96-101
      NUMA node1 CPU(s):               6-11,102-107
      NUMA node2 CPU(s):               12-17,108-113
      NUMA node3 CPU(s):               18-23,114-119
      NUMA node4 CPU(s):               24-29,120-125
      NUMA node5 CPU(s):               30-35,126-131
      NUMA node6 CPU(s):               36-41,132-137
      NUMA node7 CPU(s):               42-47,138-143
      NUMA node8 CPU(s):               48-53,144-149
      NUMA node9 CPU(s):               54-59,150-155
      NUMA node10 CPU(s):              60-65,156-161
      NUMA node11 CPU(s):              66-71,162-167
      NUMA node12 CPU(s):              72-77,168-173
      NUMA node13 CPU(s):              78-83,174-179
      NUMA node14 CPU(s):              84-89,180-185
      NUMA node15 CPU(s):              90-95,186-191
      Vulnerability Itlb multihit:     Not affected
      Vulnerability L1tf:              Not affected
      Vulnerability Mds:               Not affected
      Vulnerability Meltdown:          Not affected
      Vulnerability Spec store bypass: Mitigation; Speculative Store Bypass disabled via
      prctl and seccomp
      Vulnerability Spectre v1:        Mitigation; usercopy/swapgs barriers and __user
      pointer sanitization
      Vulnerability Spectre v2:        Mitigation; Full AMD retpoline, IBPB conditional,
      IBRS_FW, STIBP always-on, RSB filling
      Vulnerability Srbds:             Not affected
      Vulnerability Tsx async abort:   Not affected
      Flags:                           fpu vme de pse tsc msr pae mce cx8 apic sep mtrr
      pge mca cmov pat pse36 clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt
      pdpe1gb rdtscp lm constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid
      aperfmperf pni pclmulqdq monitor ssse3 fma cx16 pcid sse4_1 sse4_2 movbe popcnt aes
      xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy abm sse4a
      misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext perfctr_core perfctr_nb
      bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3 invpcid_single hw_pstate ssbd mba ibrs
      ibpb stibp vmmcall fsgsbase bmi1 avx2 smep bmi2 invpcid cqm rdt_a rdseed adx smap
      clflushopt clwb sha_ni xsaveopt xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc
      cqm_mbm_total cqm_mbm_local clzero irperf xsaveerptr wbnoinvd amd_ppin arat npt lbrv
      svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists pausefilter
      pfthreshold v_vmsave_vmload vgif umip pku ospke vaes vpclmulqdq rdpid overflow_recov
      succor smca

 From lscpu --cache:
      NAME ONE-SIZE ALL-SIZE WAYS TYPE        LEVEL  SETS PHY-LINE COHERENCY-SIZE
      L1d       32K       3M    8 Data            1    64        1             64
      L1i       32K       3M    8 Instruction     1    64        1             64
      L2       512K      48M    8 Unified         2  1024        1             64
      L3        32M     512M   16 Unified         3 32768        1             64

 /proc/cpuinfo cache data
    cache size : 512 KB

 From numactl --hardware
 WARNING: a numactl 'node' might or might not correspond to a physical chip.
   available: 16 nodes (0-15)
   node 0 cpus: 0 1 2 3 4 5 96 97 98 99 100 101
   node 0 size: 128802 MB
   node 0 free: 128087 MB
   node 1 cpus: 6 7 8 9 10 11 102 103 104 105 106 107
   node 1 size: 129018 MB
   node 1 free: 128654 MB
   node 2 cpus: 12 13 14 15 16 17 108 109 110 111 112 113
   node 2 size: 129020 MB
   node 2 free: 128644 MB
   node 3 cpus: 18 19 20 21 22 23 114 115 116 117 118 119
   node 3 size: 129018 MB
   node 3 free: 128662 MB
   node 4 cpus: 24 25 26 27 28 29 120 121 122 123 124 125
   node 4 size: 129020 MB
   node 4 free: 128539 MB
   node 5 cpus: 30 31 32 33 34 35 126 127 128 129 130 131
   node 5 size: 129018 MB
   node 5 free: 128642 MB
   node 6 cpus: 36 37 38 39 40 41 132 133 134 135 136 137
   node 6 size: 129020 MB
   node 6 free: 128638 MB
   node 7 cpus: 42 43 44 45 46 47 138 139 140 141 142 143
   node 7 size: 129006 MB
   node 7 free: 128646 MB
   node 8 cpus: 48 49 50 51 52 53 144 145 146 147 148 149
   node 8 size: 129020 MB
   node 8 free: 128654 MB
   node 9 cpus: 54 55 56 57 58 59 150 151 152 153 154 155
   node 9 size: 129018 MB
   node 9 free: 128663 MB
   node 10 cpus: 60 61 62 63 64 65 156 157 158 159 160 161
   node 10 size: 129020 MB
   node 10 free: 128650 MB
   node 11 cpus: 66 67 68 69 70 71 162 163 164 165 166 167
   node 11 size: 129018 MB
   node 11 free: 128661 MB
   node 12 cpus: 72 73 74 75 76 77 168 169 170 171 172 173
   node 12 size: 129020 MB
   node 12 free: 128654 MB
   node 13 cpus: 78 79 80 81 82 83 174 175 176 177 178 179
   node 13 size: 129018 MB
   node 13 free: 128646 MB
   node 14 cpus: 84 85 86 87 88 89 180 181 182 183 184 185
   node 14 size: 129020 MB
   node 14 free: 128603 MB
   node 15 cpus: 90 91 92 93 94 95 186 187 188 189 190 191
   node 15 size: 129018 MB
   node 15 free: 128657 MB
   node distances:
   node   0   1   2   3   4   5   6   7   8   9  10  11  12  13  14  15
     0:  10  11  12  12  12  12  12  12  32  32  32  32  32  32  32  32
     1:  11  10  12  12  12  12  12  12  32  32  32  32  32  32  32  32
     2:  12  12  10  11  12  12  12  12  32  32  32  32  32  32  32  32
     3:  12  12  11  10  12  12  12  12  32  32  32  32  32  32  32  32
     4:  12  12  12  12  10  11  12  12  32  32  32  32  32  32  32  32
     5:  12  12  12  12  11  10  12  12  32  32  32  32  32  32  32  32
     6:  12  12  12  12  12  12  10  11  32  32  32  32  32  32  32  32
     7:  12  12  12  12  12  12  11  10  32  32  32  32  32  32  32  32
     8:  32  32  32  32  32  32  32  32  10  11  12  12  12  12  12  12
     9:  32  32  32  32  32  32  32  32  11  10  12  12  12  12  12  12
    10:  32  32  32  32  32  32  32  32  12  12  10  11  12  12  12  12
    11:  32  32  32  32  32  32  32  32  12  12  11  10  12  12  12  12
    12:  32  32  32  32  32  32  32  32  12  12  12  12  10  11  12  12
    13:  32  32  32  32  32  32  32  32  12  12  12  12  11  10  12  12
    14:  32  32  32  32  32  32  32  32  12  12  12  12  12  12  10  11
    15:  32  32  32  32  32  32  32  32  12  12  12  12  12  12  11  10

 From /proc/meminfo
    MemTotal:       2113625640 kB
    HugePages_Total:       0
    Hugepagesize:       2048 kB

 /sys/devices/system/cpu/cpu*/cpufreq/scaling_governor has
    performance

 From /etc/*release* /etc/*version*
    os-release:
       NAME="SLES"
       VERSION="15-SP3"
       VERSION_ID="15.3"
       PRETTY_NAME="SUSE Linux Enterprise Server 15 SP3"
       ID="sles"
       ID_LIKE="suse"
       ANSI_COLOR="0;32"
       CPE_NAME="cpe:/o:suse:sles:15:sp3"

 uname -a:
    Linux localhost 5.3.18-57-default #1 SMP Wed Apr 28 10:54:41 UTC 2021 (ba3c2e9) x86_64
    x86_64 x86_64 GNU/Linux

 Kernel self-reported vulnerability status:

 CVE-2018-12207 (iTLB Multihit):                        Not affected
 CVE-2018-3620 (L1 Terminal Fault):                     Not affected
 Microarchitectural Data Sampling:                      Not affected
 CVE-2017-5754 (Meltdown):                              Not affected
 CVE-2018-3639 (Speculative Store Bypass):              Mitigation: Speculative Store
                                                        Bypass disabled via prctl and
                                                        seccomp
 CVE-2017-5753 (Spectre variant 1):                     Mitigation: usercopy/swapgs
                                                        barriers and __user pointer
                                                        sanitization
 CVE-2017-5715 (Spectre variant 2):                     Mitigation: Full AMD retpoline,
                                                        IBPB: conditional, IBRS_FW, STIBP:
                                                        always-on, RSB filling
 CVE-2020-0543 (Special Register Buffer Data Sampling): Not affected
 CVE-2019-11135 (TSX Asynchronous Abort):               Not affected

 run-level 3 Sep 17 03:24

 SPEC is set to: /home/cpu2017
    Filesystem     Type  Size  Used Avail Use% Mounted on
    /dev/sdb3      xfs   557G   11G  546G   2% /

 From /sys/devices/virtual/dmi/id
     Vendor:         Cisco Systems Inc
     Product:        UCSC-C225-M6S
     Serial:         WZP252309U3

 Additional information from dmidecode 3.2 follows.  WARNING: Use caution when you
 interpret this section. The 'dmidecode' program reads system data which is "intended to
 allow hardware to be accurately determined", but the intent may not be met, as there are
 frequent changes to hardware, firmware, and the "DMTF SMBIOS" standard.
   Memory:
     16x 0xCE00 M386AAG40AM3-CWE 128 GB 4 rank 3200

 BIOS:
    BIOS Vendor:       Cisco Systems, Inc.
    BIOS Version:      C225M6.4.2.1c.0.0806211349
    BIOS Date:         08/06/2021
    BIOS Revision:     5.22

 (End of data from sysinfo program)

Compiler Version Notes

==============================================================================
C               | 519.lbm_r(base, peak) 538.imagick_r(base, peak)
                | 544.nab_r(base, peak)
------------------------------------------------------------------------------
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
------------------------------------------------------------------------------

==============================================================================
C++             | 508.namd_r(base, peak) 510.parest_r(base, peak)
------------------------------------------------------------------------------
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
------------------------------------------------------------------------------

==============================================================================
C++, C          | 511.povray_r(base, peak) 526.blender_r(base, peak)
------------------------------------------------------------------------------
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
------------------------------------------------------------------------------

==============================================================================
C++, C, Fortran | 507.cactuBSSN_r(base, peak)
------------------------------------------------------------------------------
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
------------------------------------------------------------------------------

==============================================================================
Fortran         | 503.bwaves_r(base, peak) 549.fotonik3d_r(base, peak)
                | 554.roms_r(base, peak)
------------------------------------------------------------------------------
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
------------------------------------------------------------------------------

==============================================================================
Fortran, C      | 521.wrf_r(base, peak) 527.cam4_r(base, peak)
------------------------------------------------------------------------------
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
AMD clang version 12.0.0 (CLANG: AOCC_3.0.0-Build#78 2020_12_10) (based on
  LLVM Mirror.Version.12.0.0)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc-compiler-3.0.0/bin
------------------------------------------------------------------------------

Base Compiler Invocation

C benchmarks:

 clang 

C++ benchmarks:

 clang++ 

Fortran benchmarks:

 flang 

Benchmarks using both Fortran and C:

 flang   clang 

Benchmarks using both C and C++:

 clang++   clang 

Benchmarks using Fortran, C, and C++:

 clang++   clang   flang 

Base Portability Flags

503.bwaves_r:  -DSPEC_LP64 
507.cactuBSSN_r:  -DSPEC_LP64 
508.namd_r:  -DSPEC_LP64 
510.parest_r:  -DSPEC_LP64 
511.povray_r:  -DSPEC_LP64 
519.lbm_r:  -DSPEC_LP64 
521.wrf_r:  -DSPEC_CASE_FLAG   -Mbyteswapio   -DSPEC_LP64 
526.blender_r:  -funsigned-char   -D__BOOL_DEFINED   -DSPEC_LP64 
527.cam4_r:  -DSPEC_CASE_FLAG   -DSPEC_LP64 
538.imagick_r:  -DSPEC_LP64 
544.nab_r:  -DSPEC_LP64 
549.fotonik3d_r:  -DSPEC_LP64 
554.roms_r:  -DSPEC_LP64 

Base Optimization Flags

C benchmarks:

 -m64   -flto   -Wl,-mllvm -Wl,-region-vectorize   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -O3   -ffast-math   -march=znver3   -fveclib=AMDLIBM   -fstruct-layout=5   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -mllvm -function-specialize   -flv-function-specialization   -mllvm -enable-gvn-hoist   -mllvm -global-vectorize-slp=true   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -z muldefs   -lamdlibm   -ljemalloc   -lflang   -lflangrti 

C++ benchmarks:

 -m64   -std=c++98   -mno-adx   -mno-sse4a   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -flto   -Wl,-mllvm -Wl,-region-vectorize   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -O3   -ffast-math   -march=znver3   -fveclib=AMDLIBM   -mllvm -enable-partial-unswitch   -mllvm -unroll-threshold=100   -finline-aggressive   -flv-function-specialization   -mllvm -loop-unswitch-threshold=200000   -mllvm -reroll-loops   -mllvm -aggressive-loop-unswitch   -mllvm -extra-vectorizer-passes   -mllvm -reduce-array-computations=3   -mllvm -global-vectorize-slp=true   -mllvm -convert-pow-exp-to-int=false   -z muldefs   -lamdlibm   -ljemalloc   -lflang   -lflangrti 

Fortran benchmarks:

 -m64   -Wl,-mllvm -Wl,-enable-X86-prefetching   -Wl,-mllvm -Wl,-enable-licm-vrp   -flto   -Wl,-mllvm -Wl,-region-vectorize   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Hz,1,0x1   -O3   -ffast-math   -march=znver3   -fveclib=AMDLIBM   -Kieee   -Mrecursive   -mllvm -fuse-tile-inner-loop   -funroll-loops   -mllvm -extra-vectorizer-passes   -mllvm -lsr-in-nested-loop   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -mllvm -global-vectorize-slp=true   -z muldefs   -lamdlibm   -ljemalloc   -lflang   -lflangrti 

Benchmarks using both Fortran and C:

 -m64   -Wl,-mllvm -Wl,-enable-X86-prefetching   -Wl,-mllvm -Wl,-enable-licm-vrp   -flto   -Wl,-mllvm -Wl,-region-vectorize   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -O3   -ffast-math   -march=znver3   -fveclib=AMDLIBM   -fstruct-layout=5   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -mllvm -function-specialize   -flv-function-specialization   -mllvm -enable-gvn-hoist   -mllvm -global-vectorize-slp=true   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -Hz,1,0x1   -Kieee   -Mrecursive   -mllvm -fuse-tile-inner-loop   -funroll-loops   -mllvm -extra-vectorizer-passes   -mllvm -lsr-in-nested-loop   -z muldefs   -lamdlibm   -ljemalloc   -lflang   -lflangrti 

Benchmarks using both C and C++:

 -m64   -std=c++98   -mno-adx   -mno-sse4a   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -flto   -Wl,-mllvm -Wl,-region-vectorize   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -O3   -ffast-math   -march=znver3   -fveclib=AMDLIBM   -fstruct-layout=5   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -mllvm -function-specialize   -flv-function-specialization   -mllvm -enable-gvn-hoist   -mllvm -global-vectorize-slp=true   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -mllvm -enable-partial-unswitch   -mllvm -unroll-threshold=100   -finline-aggressive   -mllvm -loop-unswitch-threshold=200000   -mllvm -reroll-loops   -mllvm -aggressive-loop-unswitch   -mllvm -extra-vectorizer-passes   -mllvm -convert-pow-exp-to-int=false   -z muldefs   -lamdlibm   -ljemalloc   -lflang   -lflangrti 

Benchmarks using Fortran, C, and C++:

 -m64   -std=c++98   -mno-adx   -mno-sse4a   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -flto   -Wl,-mllvm -Wl,-region-vectorize   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -O3   -ffast-math   -march=znver3   -fveclib=AMDLIBM   -fstruct-layout=5   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -mllvm -function-specialize   -flv-function-specialization   -mllvm -enable-gvn-hoist   -mllvm -global-vectorize-slp=true   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -mllvm -enable-partial-unswitch   -mllvm -unroll-threshold=100   -finline-aggressive   -mllvm -loop-unswitch-threshold=200000   -mllvm -reroll-loops   -mllvm -aggressive-loop-unswitch   -mllvm -extra-vectorizer-passes   -mllvm -convert-pow-exp-to-int=false   -Hz,1,0x1   -Kieee   -Mrecursive   -mllvm -fuse-tile-inner-loop   -funroll-loops   -mllvm -lsr-in-nested-loop   -z muldefs   -lamdlibm   -ljemalloc   -lflang   -lflangrti 

Base Other Flags

C benchmarks:

 -Wno-unused-command-line-argument 

C++ benchmarks:

 -Wno-unused-command-line-argument 

Fortran benchmarks:

 -Wno-unused-command-line-argument 

Benchmarks using both Fortran and C:

 -Wno-unused-command-line-argument 

Benchmarks using both C and C++:

 -Wno-unused-command-line-argument 

Benchmarks using Fortran, C, and C++:

 -Wno-unused-command-line-argument 

Peak Compiler Invocation

C benchmarks:

 clang 

C++ benchmarks:

 clang++ 

Fortran benchmarks:

 flang 

Benchmarks using both Fortran and C:

 flang   clang 

Benchmarks using both C and C++:

 clang++   clang 

Benchmarks using Fortran, C, and C++:

 clang++   clang   flang 

Peak Portability Flags

Same as Base Portability Flags

Peak Optimization Flags

C benchmarks:

519.lbm_r:  basepeak = yes 
538.imagick_r:  -m64   -flto   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Ofast   -march=znver3   -fveclib=AMDLIBM   -fstruct-layout=7   -mllvm -unroll-threshold=50   -fremap-arrays   -flv-function-specialization   -mllvm -inline-threshold=1000   -mllvm -enable-gvn-hoist   -mllvm -global-vectorize-slp=true   -mllvm -function-specialize   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -lamdlibm   -ljemalloc 
544.nab_r:  -m64   -flto   -Wl,-mllvm -Wl,-region-vectorize   -Wl,-mllvm -Wl,-function-specialize   -Ofast   -march=znver3   -fveclib=AMDLIBM   -fstruct-layout=7   -mllvm -unroll-threshold=50   -fremap-arrays   -flv-function-specialization   -mllvm -inline-threshold=1000   -mllvm -enable-gvn-hoist   -mllvm -global-vectorize-slp=true   -mllvm -function-specialize   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -lamdlibm   -ljemalloc 

C++ benchmarks:

508.namd_r:  -m64   -std=c++98   -mno-adx   -mno-sse4a   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -Wl,-mllvm -Wl,-enable-licm-vrp   -flto   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Ofast   -march=znver3   -fveclib=AMDLIBM   -finline-aggressive   -mllvm -unroll-threshold=100   -flv-function-specialization   -mllvm -enable-licm-vrp   -mllvm -reroll-loops   -mllvm -aggressive-loop-unswitch   -mllvm -reduce-array-computations=3   -mllvm -global-vectorize-slp=true   -lamdlibm   -ljemalloc 
510.parest_r:  -m64   -std=c++98   -mno-adx   -mno-sse4a   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -Wl,-mllvm -Wl,-enable-licm-vrp   -flto   -Wl,-mllvm -Wl,-suppress-fmas   -Wl,-mllvm -Wl,-function-specialize   -Ofast   -march=znver3   -fveclib=AMDLIBM   -finline-aggressive   -mllvm -unroll-threshold=100   -flv-function-specialization   -mllvm -enable-licm-vrp   -mllvm -reroll-loops   -mllvm -aggressive-loop-unswitch   -mllvm -reduce-array-computations=3   -mllvm -global-vectorize-slp=true   -lamdlibm   -ljemalloc 

Fortran benchmarks:

503.bwaves_r:  -m64   -Wl,-mllvm -Wl,-enable-X86-prefetching   -Wl,-mllvm -Wl,-enable-licm-vrp   -flto   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Ofast   -march=znver3   -fveclib=AMDLIBM   -Kieee   -Mrecursive   -mllvm -reduce-array-computations=3   -mllvm -global-vectorize-slp=true   -mllvm -enable-licm-vrp   -lamdlibm   -ljemalloc   -lflang   -lflangrti 
549.fotonik3d_r:  Same as 503.bwaves_r 
554.roms_r:  -m64   -Wl,-mllvm -Wl,-enable-X86-prefetching   -Wl,-mllvm -Wl,-enable-licm-vrp   -flto   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Ofast   -march=znver3   -fveclib=AMDLIBM   -Kieee   -Mrecursive   -mllvm -reduce-array-computations=3   -mllvm -global-vectorize-slp=true   -mllvm -enable-licm-vrp   -Hz,1,0x1   -mllvm -fuse-tile-inner-loop   -lamdlibm   -ljemalloc   -lflang   -lflangrti 

Benchmarks using both Fortran and C:

521.wrf_r:  basepeak = yes 
527.cam4_r:  -m64   -Wl,-mllvm -Wl,-enable-X86-prefetching   -Wl,-mllvm -Wl,-enable-licm-vrp   -flto   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-force-vector-interleave=1   -Ofast   -march=znver3   -fveclib=AMDLIBM   -fstruct-layout=7   -mllvm -unroll-threshold=50   -fremap-arrays   -flv-function-specialization   -mllvm -inline-threshold=1000   -mllvm -enable-gvn-hoist   -mllvm -global-vectorize-slp=true   -mllvm -function-specialize   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -O3   -ffast-math   -funroll-loops   -mllvm -extra-vectorizer-passes   -mllvm -lsr-in-nested-loop   -Mrecursive   -lamdlibm   -ljemalloc   -lflang   -lflangrti 

Benchmarks using both C and C++:

 -m64   -std=c++98   -mno-adx   -mno-sse4a   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -Wl,-mllvm -Wl,-enable-licm-vrp   -flto   -Wl,-mllvm -Wl,-function-specialize   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Ofast   -march=znver3   -fveclib=AMDLIBM   -fstruct-layout=7   -mllvm -unroll-threshold=50   -fremap-arrays   -flv-function-specialization   -mllvm -inline-threshold=1000   -mllvm -enable-gvn-hoist   -mllvm -global-vectorize-slp=true   -mllvm -function-specialize   -mllvm -enable-licm-vrp   -mllvm -reduce-array-computations=3   -finline-aggressive   -mllvm -unroll-threshold=100   -mllvm -reroll-loops   -mllvm -aggressive-loop-unswitch   -lamdlibm   -ljemalloc 

Benchmarks using Fortran, C, and C++:

507.cactuBSSN_r:  basepeak = yes 

Peak Other Flags

C benchmarks:

 -Wno-unused-command-line-argument 

C++ benchmarks:

 -Wno-unused-command-line-argument 

Fortran benchmarks:

 -Wno-unused-command-line-argument 

Benchmarks using both Fortran and C:

 -Wno-unused-command-line-argument 

Benchmarks using both C and C++:

 -Wno-unused-command-line-argument 

Benchmarks using Fortran, C, and C++:

 -Wno-unused-command-line-argument 

The flags files that were used to format this result can be browsed at
http://www.spec.org/cpu2017/flags/aocc300-flags-B2.html,
http://www.spec.org/cpu2017/flags/Cisco-Platform-Settings-AMD-v2-revD.html.

You can also download the XML flags sources by saving the following links:
http://www.spec.org/cpu2017/flags/aocc300-flags-B2.xml,
http://www.spec.org/cpu2017/flags/Cisco-Platform-Settings-AMD-v2-revD.xml.