SPEC CPU®2017 Floating Point Rate Result

Copyright 2017-2023 Standard Performance Evaluation Corporation

Hewlett Packard Enterprise (Test Sponsor: HPE)

ProLiant DL365 Gen11
(2.25 GHz, AMD EPYC 9754)

SPECrate®2017_fp_base = 142000

SPECrate®2017_fp_peak = 144000

CPU2017 License: 3 Test Date: May-2023
Test Sponsor: HPE Hardware Availability: Jun-2023
Tested by: HPE Software Availability: Nov-2022

Benchmark result graphs are available in the PDF report.

Hardware
CPU Name: AMD EPYC 9754
  Max MHz: 3100
  Nominal: 2250
Enabled: 256 cores, 2 chips
Orderable: 1,2 chips
Cache L1: 32 KB I + 32 KB D on chip per core
  L2: 1 MB I+D on chip per core
  L3: 256 MB I+D on chip per chip,
16 MB shared / 8 cores
  Other: None
Memory: 1536 GB (24 x 64 GB 2Rx4 PC5-4800B-R)
Storage: 1 x 480 GB SATA SSD
Other: None
Software
OS: Red Hat Enterprise Linux 9.0 (Plow)
Kernel 5.14.0-70.13.1.el9_0.x86_64
Compiler: C/C++/Fortran: Version 4.0.0 of AOCC
Parallel: No
Firmware: HPE BIOS Version v1.30 03/06/2023 released
Mar-2023
File System: xfs
System State: Run level 3 (multi-user)
Base Pointers: 64-bit
Peak Pointers: 64-bit
Other: None
Power Management: BIOS and OS set to prefer performance at
the cost of additional power usage

Results Table

Benchmark Base Peak
Copies Seconds Ratio Seconds Ratio Seconds Ratio Copies Seconds Ratio Seconds Ratio Seconds Ratio
SPECrate®2017_fp_base 142000
SPECrate®2017_fp_peak 144000
Results appear in the order in which they were run. Bold underlined text indicates a median measurement.
503.bwaves_r 256 14620 1760 14660 1750 14650 1750 256 14620 1760 14640 1750 14600 1760
507.cactuBSSN_r 256 1950 1660 1960 1660 1950 1670 256 1940 1670 1950 1660 1950 1660
508.namd_r 256 1650 1470 1650 1470 1650 1480 256 1650 1470 1650 1470 1650 1480
510.parest_r 256 6800 984 6620 1010 6690 1000 128 2940 1140 2940 1140 2950 1140
511.povray_r 256 3010 1990 3050 1960 3020 1980 256 3010 1990 3050 1960 3020 1980
519.lbm_r 256 4500 600 4500 600 4490 600 256 4490 600 4510 599 4490 601
521.wrf_r 256 5990 958 5990 957 6000 956 128 2800 1030 2790 1030 2800 1020
526.blender_r 256 2380 1640 2380 1640 2390 1630 256 2380 1640 2380 1640 2390 1630
527.cam4_r 256 2690 1660 2680 1670 2700 1660 256 2660 1680 2670 1680 2680 1670
538.imagick_r 256 92.9 6850 92.0 6920 91.7 6940 256 91.9 6920 91.9 6930 92.4 6890
544.nab_r 256 1530 2820 1520 2830 1520 2830 256 1530 2820 1520 2830 1520 2830
549.fotonik3d_r 256 17320 576 17330 576 17330 576 256 17320 576 17330 576 17330 576
554.roms_r 256 6700 607 6710 607 6700 607 128 3300 616 3310 615 3310 615

Compiler Notes

The AMD64 AOCC Compiler Suite is available at
http://developer.amd.com/amd-aocc/

Submit Notes

The config file option 'submit' was used.
'numactl' was used to bind copies to the cores.
See the configuration file for details.

Operating System Notes

'ulimit -s unlimited' was used to set environment stack size limit
'ulimit -l 2097152' was used to set environment locked pages in memory limit

runcpu command invoked through numactl i.e.:
numactl --interleave=all runcpu <etc>

To limit dirty cache to 8% of memory, 'sysctl -w vm.dirty_ratio=8' run as root.
To limit swap usage to minimum necessary, 'sysctl -w vm.swappiness=1' run as root.
To free node-local memory and avoid remote memory usage,
'sysctl -w vm.zone_reclaim_mode=1' run as root.
To clear filesystem caches, 'sync; sysctl -w vm.drop_caches=3' run as root.
To disable address space layout randomization (ASLR) to reduce run-to-run
variability, 'sysctl -w kernel.randomize_va_space=0' run as root.

To enable Transparent Hugepages (THP) for all allocations,
'echo always > /sys/kernel/mm/transparent_hugepage/enabled' and
'echo always > /sys/kernel/mm/transparent_hugepage/defrag' run as root.

Environment Variables Notes

Environment variables set by runcpu before the start of the run:
LD_LIBRARY_PATH =
     "/home/cpu2017/amd_rate_aocc400_genoa_B_lib/lib:/home/cpu2017/amd_rate_aocc400_genoa_B_lib/lib32:"
MALLOC_CONF = "retain:true"

General Notes

Binaries were compiled on a system with 2x AMD EPYC 9174F CPU + 1.5TiB Memory using RHEL 8.6

NA: The test sponsor attests, as of date of publication, that CVE-2017-5754 (Meltdown)
is mitigated in the system as tested and documented.
Yes: The test sponsor attests, as of date of publication, that CVE-2017-5753 (Spectre variant 1)
is mitigated in the system as tested and documented.
Yes: The test sponsor attests, as of date of publication, that CVE-2017-5715 (Spectre variant 2)
is mitigated in the system as tested and documented.

Platform Notes

BIOS Configuration
Workload Profile set to General Throughput Compute
AMD SMT Option set to Disabled
Determinism Control set to Manual
Performance Determinism set to Power Deterministic
Last-Level Cache (LLC) as NUMA Node set to Enabled
NUMA memory domains per socket set to Four memory domains per socket
ACPI CST C2 Latency set to 18 microseconds
Thermal Configuration set to Maximum Cooling
Data Fabric C-State Enable set to Force Enabled
Workload Profile set to Custom
 Power Regulator set to OS Control Mode
 L2 HW Prefetcher set to Disabled

 Sysinfo program /home/cpu2017/bin/sysinfo
 Rev: r6732 of 2022-11-07 fe91c89b7ed5c36ae2c92cc097bec197
 running on localhost.localdomain Fri May 19 00:22:29 2023

 SUT (System Under Test) info as seen by some common utilities.

 ------------------------------------------------------------
 Table of contents
 ------------------------------------------------------------
  1. uname -a
  2. w
  3. Username
  4. ulimit -a
  5. sysinfo process ancestry
  6. /proc/cpuinfo
  7. lscpu
  8. numactl --hardware
  9. /proc/meminfo
 10. who -r
 11. Systemd service manager version: systemd 250 (250-6.el9_0)
 12. Services, from systemctl list-unit-files
 13. Linux kernel boot-time arguments, from /proc/cmdline
 14. cpupower frequency-info
 15. tuned-adm active
 16. sysctl
 17. /sys/kernel/mm/transparent_hugepage
 18. /sys/kernel/mm/transparent_hugepage/khugepaged
 19. OS release
 20. Disk information
 21. /sys/devices/virtual/dmi/id
 22. dmidecode
 23. BIOS
 ------------------------------------------------------------

 ------------------------------------------------------------
 1. uname -a
   Linux localhost.localdomain 5.14.0-70.13.1.el9_0.x86_64 #1 SMP PREEMPT Thu Apr 14 12:42:38 EDT 2022 x86_64
   x86_64 x86_64 GNU/Linux

 ------------------------------------------------------------
 2. w
    00:22:29 up 1 min,  0 users,  load average: 0.63, 0.27, 0.10
   USER     TTY        LOGIN@   IDLE   JCPU   PCPU WHAT

 ------------------------------------------------------------
 3. Username
   From environment variable $USER:  root

 ------------------------------------------------------------
 4. ulimit -a
   real-time non-blocking time  (microseconds, -R) unlimited
   core file size              (blocks, -c) 0
   data seg size               (kbytes, -d) unlimited
   scheduling priority                 (-e) 0
   file size                   (blocks, -f) unlimited
   pending signals                     (-i) 6191075
   max locked memory           (kbytes, -l) 2097152
   max memory size             (kbytes, -m) unlimited
   open files                          (-n) 1024
   pipe size                (512 bytes, -p) 8
   POSIX message queues         (bytes, -q) 819200
   real-time priority                  (-r) 0
   stack size                  (kbytes, -s) unlimited
   cpu time                   (seconds, -t) unlimited
   max user processes                  (-u) 6191075
   virtual memory              (kbytes, -v) unlimited
   file locks                          (-x) unlimited

 ------------------------------------------------------------
 5. sysinfo process ancestry
  /usr/lib/systemd/systemd --switched-root --system --deserialize 28
  sshd: /usr/sbin/sshd -D [listener] 0 of 10-100 startups
  sshd: root [priv]
  sshd: root@notty
  bash -c cd $SPEC/ && $SPEC/fprate.sh
  python3 ./run_fprate.py
  /bin/bash ./amd_rate_aocc400_genoa_B1.sh
  runcpu --config amd_rate_aocc400_genoa_B1.cfg --tune all --reportable --iterations 3 fprate
  runcpu --configfile amd_rate_aocc400_genoa_B1.cfg --tune all --reportable --iterations 3 --nopower --runmode
    rate --tune base:peak --size test:train:refrate fprate --nopreenv --note-preenv --logfile
    $SPEC/tmp/CPU2017.002/templogs/preenv.fprate.002.0.log --lognum 002.0 --from_runcpu 2
  specperl $SPEC/bin/sysinfo
 $SPEC = /home/cpu2017

 ------------------------------------------------------------
 6. /proc/cpuinfo
     model name      : AMD EPYC 9754 128-Core Processor
     vendor_id       : AuthenticAMD
     cpu family      : 25
     model           : 160
     stepping        : 2
     bugs            : sysret_ss_attrs spectre_v1 spectre_v2 spec_store_bypass
     TLB size        : 3584 4K pages
     cpu cores       : 128
     siblings        : 128
     2 physical ids (chips)
     256 processors (hardware threads)
     physical id 0: core ids
     0-7,16-23,32-39,48-55,64-71,80-87,96-103,112-119,128-135,144-151,160-167,176-183,192-199,208-215,224-231,
     240-247
     physical id 1: core ids
     0-7,16-23,32-39,48-55,64-71,80-87,96-103,112-119,128-135,144-151,160-167,176-183,192-199,208-215,224-231,
     240-247
     physical id 0: apicids
     0-7,16-23,32-39,48-55,64-71,80-87,96-103,112-119,128-135,144-151,160-167,176-183,192-199,208-215,224-231,
     240-247
     physical id 1: apicids
     256-263,272-279,288-295,304-311,320-327,336-343,352-359,368-375,384-391,400-407,416-423,432-439,448-455,4
     64-471,480-487,496-503
   Caution: /proc/cpuinfo data regarding chips, cores, and threads is not necessarily reliable, especially for
   virtualized systems.  Use the above data carefully.

 ------------------------------------------------------------
 7. lscpu

 From lscpu from util-linux 2.37.4:
   Architecture:                    x86_64
   CPU op-mode(s):                  32-bit, 64-bit
   Address sizes:                   52 bits physical, 57 bits virtual
   Byte Order:                      Little Endian
   CPU(s):                          256
   On-line CPU(s) list:             0-255
   Vendor ID:                       AuthenticAMD
   BIOS Vendor ID:                  Advanced Micro Devices, Inc.
   Model name:                      AMD EPYC 9754 128-Core Processor
   BIOS Model name:                 AMD EPYC 9754 128-Core Processor
   CPU family:                      25
   Model:                           160
   Thread(s) per core:              1
   Core(s) per socket:              128
   Socket(s):                       2
   Stepping:                        2
   Frequency boost:                 enabled
   CPU max MHz:                     2250.0000
   CPU min MHz:                     1500.0000
   BogoMIPS:                        4493.23
   Flags:                           fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36
                                    clflush mmx fxsr sse sse2 ht syscall nx mmxext fxsr_opt pdpe1gb rdtscp lm
                                    constant_tsc rep_good nopl nonstop_tsc cpuid extd_apicid aperfmperf rapl
                                    pni pclmulqdq monitor ssse3 fma cx16 pcid sse4_1 sse4_2 x2apic movbe
                                    popcnt aes xsave avx f16c rdrand lahf_lm cmp_legacy svm extapic cr8_legacy
                                    abm sse4a misalignsse 3dnowprefetch osvw ibs skinit wdt tce topoext
                                    perfctr_core perfctr_nb bpext perfctr_llc mwaitx cpb cat_l3 cdp_l3
                                    invpcid_single hw_pstate ssbd mba ibrs ibpb stibp vmmcall fsgsbase bmi1
                                    avx2 smep bmi2 erms invpcid cqm rdt_a avx512f avx512dq rdseed adx smap
                                    avx512ifma clflushopt clwb avx512cd sha_ni avx512bw avx512vl xsaveopt
                                    xsavec xgetbv1 xsaves cqm_llc cqm_occup_llc cqm_mbm_total cqm_mbm_local
                                    avx512_bf16 clzero irperf xsaveerptr rdpru wbnoinvd amd_ppin arat npt lbrv
                                    svm_lock nrip_save tsc_scale vmcb_clean flushbyasid decodeassists
                                    pausefilter pfthreshold avic v_vmsave_vmload vgif v_spec_ctrl avx512vbmi
                                    umip pku ospke avx512_vbmi2 gfni vaes vpclmulqdq avx512_vnni avx512_bitalg
                                    avx512_vpopcntdq la57 rdpid overflow_recov succor smca fsrm flush_l1d
   Virtualization:                  AMD-V
   L1d cache:                       8 MiB (256 instances)
   L1i cache:                       8 MiB (256 instances)
   L2 cache:                        256 MiB (256 instances)
   L3 cache:                        512 MiB (32 instances)
   NUMA node(s):                    32
   NUMA node0 CPU(s):               0-7
   NUMA node1 CPU(s):               8-15
   NUMA node2 CPU(s):               64-71
   NUMA node3 CPU(s):               72-79
   NUMA node4 CPU(s):               32-39
   NUMA node5 CPU(s):               40-47
   NUMA node6 CPU(s):               96-103
   NUMA node7 CPU(s):               104-111
   NUMA node8 CPU(s):               48-55
   NUMA node9 CPU(s):               56-63
   NUMA node10 CPU(s):              112-119
   NUMA node11 CPU(s):              120-127
   NUMA node12 CPU(s):              16-23
   NUMA node13 CPU(s):              24-31
   NUMA node14 CPU(s):              80-87
   NUMA node15 CPU(s):              88-95
   NUMA node16 CPU(s):              128-135
   NUMA node17 CPU(s):              136-143
   NUMA node18 CPU(s):              192-199
   NUMA node19 CPU(s):              200-207
   NUMA node20 CPU(s):              160-167
   NUMA node21 CPU(s):              168-175
   NUMA node22 CPU(s):              224-231
   NUMA node23 CPU(s):              232-239
   NUMA node24 CPU(s):              176-183
   NUMA node25 CPU(s):              184-191
   NUMA node26 CPU(s):              240-247
   NUMA node27 CPU(s):              248-255
   NUMA node28 CPU(s):              144-151
   NUMA node29 CPU(s):              152-159
   NUMA node30 CPU(s):              208-215
   NUMA node31 CPU(s):              216-223
   Vulnerability Itlb multihit:     Not affected
   Vulnerability L1tf:              Not affected
   Vulnerability Mds:               Not affected
   Vulnerability Meltdown:          Not affected
   Vulnerability Spec store bypass: Mitigation; Speculative Store Bypass disabled via prctl
   Vulnerability Spectre v1:        Mitigation; usercopy/swapgs barriers and __user pointer sanitization
   Vulnerability Spectre v2:        Mitigation; Retpolines, IBPB conditional, IBRS_FW, STIBP disabled, RSB
                                    filling
   Vulnerability Srbds:             Not affected
   Vulnerability Tsx async abort:   Not affected

 From lscpu --cache:
      NAME ONE-SIZE ALL-SIZE WAYS TYPE        LEVEL  SETS PHY-LINE COHERENCY-SIZE
      L1d       32K       8M    8 Data            1    64        1             64
      L1i       32K       8M    8 Instruction     1    64        1             64
      L2         1M     256M    8 Unified         2  2048        1             64
      L3        16M     512M   16 Unified         3 16384        1             64

 ------------------------------------------------------------
 8. numactl --hardware
 NOTE: a numactl 'node' might or might not correspond to a physical chip.
   available: 32 nodes (0-31)
   node 0 cpus: 0-7
   node 0 size: 48135 MB
   node 0 free: 47947 MB
   node 1 cpus: 8-15
   node 1 size: 48382 MB
   node 1 free: 48220 MB
   node 2 cpus: 64-71
   node 2 size: 48382 MB
   node 2 free: 48202 MB
   node 3 cpus: 72-79
   node 3 size: 48382 MB
   node 3 free: 48217 MB
   node 4 cpus: 32-39
   node 4 size: 48382 MB
   node 4 free: 48170 MB
   node 5 cpus: 40-47
   node 5 size: 48382 MB
   node 5 free: 48062 MB
   node 6 cpus: 96-103
   node 6 size: 48382 MB
   node 6 free: 47947 MB
   node 7 cpus: 104-111
   node 7 size: 48382 MB
   node 7 free: 48086 MB
   node 8 cpus: 48-55
   node 8 size: 48382 MB
   node 8 free: 48179 MB
   node 9 cpus: 56-63
   node 9 size: 48382 MB
   node 9 free: 48240 MB
   node 10 cpus: 112-119
   node 10 size: 48382 MB
   node 10 free: 48216 MB
   node 11 cpus: 120-127
   node 11 size: 48382 MB
   node 11 free: 48243 MB
   node 12 cpus: 16-23
   node 12 size: 48382 MB
   node 12 free: 48186 MB
   node 13 cpus: 24-31
   node 13 size: 48382 MB
   node 13 free: 48103 MB
   node 14 cpus: 80-87
   node 14 size: 48382 MB
   node 14 free: 48202 MB
   node 15 cpus: 88-95
   node 15 size: 48382 MB
   node 15 free: 48245 MB
   node 16 cpus: 128-135
   node 16 size: 48382 MB
   node 16 free: 48230 MB
   node 17 cpus: 136-143
   node 17 size: 48382 MB
   node 17 free: 48227 MB
   node 18 cpus: 192-199
   node 18 size: 48382 MB
   node 18 free: 48224 MB
   node 19 cpus: 200-207
   node 19 size: 48382 MB
   node 19 free: 48214 MB
   node 20 cpus: 160-167
   node 20 size: 48382 MB
   node 20 free: 48242 MB
   node 21 cpus: 168-175
   node 21 size: 48382 MB
   node 21 free: 48217 MB
   node 22 cpus: 224-231
   node 22 size: 48382 MB
   node 22 free: 48214 MB
   node 23 cpus: 232-239
   node 23 size: 48382 MB
   node 23 free: 48221 MB
   node 24 cpus: 176-183
   node 24 size: 48382 MB
   node 24 free: 48157 MB
   node 25 cpus: 184-191
   node 25 size: 48345 MB
   node 25 free: 48056 MB
   node 26 cpus: 240-247
   node 26 size: 48382 MB
   node 26 free: 48205 MB
   node 27 cpus: 248-255
   node 27 size: 48382 MB
   node 27 free: 48013 MB
   node 28 cpus: 144-151
   node 28 size: 48382 MB
   node 28 free: 48216 MB
   node 29 cpus: 152-159
   node 29 size: 48382 MB
   node 29 free: 48217 MB
   node 30 cpus: 208-215
   node 30 size: 48382 MB
   node 30 free: 48200 MB
   node 31 cpus: 216-223
   node 31 size: 48309 MB
   node 31 free: 48143 MB
   node distances:
   node   0   1   2   3   4   5   6   7   8   9  10  11  12  13  14  15  16  17  18  19  20  21  22  23  24
   25  26  27  28  29  30  31
     0:  10  11  11  11  12  12  12  12  12  12  12  12  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     1:  11  10  11  11  12  12  12  12  12  12  12  12  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     2:  11  11  10  11  12  12  12  12  12  12  12  12  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     3:  11  11  11  10  12  12  12  12  12  12  12  12  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     4:  12  12  12  12  10  11  11  11  12  12  12  12  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     5:  12  12  12  12  11  10  11  11  12  12  12  12  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     6:  12  12  12  12  11  11  10  11  12  12  12  12  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     7:  12  12  12  12  11  11  11  10  12  12  12  12  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     8:  12  12  12  12  12  12  12  12  10  11  11  11  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
     9:  12  12  12  12  12  12  12  12  11  10  11  11  12  12  12  12  32  32  32  32  32  32  32  32  32
     32  32  32  32  32  32  32
    10:  12  12  12  12  12  12  12  12  11  11  10  11  12  12  12  12  32  32  32  32  32  32  32  32  32
    32  32  32  32  32  32  32
    11:  12  12  12  12  12  12  12  12  11  11  11  10  12  12  12  12  32  32  32  32  32  32  32  32  32
    32  32  32  32  32  32  32
    12:  12  12  12  12  12  12  12  12  12  12  12  12  10  11  11  11  32  32  32  32  32  32  32  32  32
    32  32  32  32  32  32  32
    13:  12  12  12  12  12  12  12  12  12  12  12  12  11  10  11  11  32  32  32  32  32  32  32  32  32
    32  32  32  32  32  32  32
    14:  12  12  12  12  12  12  12  12  12  12  12  12  11  11  10  11  32  32  32  32  32  32  32  32  32
    32  32  32  32  32  32  32
    15:  12  12  12  12  12  12  12  12  12  12  12  12  11  11  11  10  32  32  32  32  32  32  32  32  32
    32  32  32  32  32  32  32
    16:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  10  11  11  11  12  12  12  12  12
    12  12  12  12  12  12  12
    17:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  11  10  11  11  12  12  12  12  12
    12  12  12  12  12  12  12
    18:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  11  11  10  11  12  12  12  12  12
    12  12  12  12  12  12  12
    19:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  11  11  11  10  12  12  12  12  12
    12  12  12  12  12  12  12
    20:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  10  11  11  11  12
    12  12  12  12  12  12  12
    21:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  11  10  11  11  12
    12  12  12  12  12  12  12
    22:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  11  11  10  11  12
    12  12  12  12  12  12  12
    23:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  11  11  11  10  12
    12  12  12  12  12  12  12
    24:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  12  12  12  12  10
    11  11  11  12  12  12  12
    25:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  12  12  12  12  11
    10  11  11  12  12  12  12
    26:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  12  12  12  12  11
    11  10  11  12  12  12  12
    27:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  12  12  12  12  11
    11  11  10  12  12  12  12
    28:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  12  12  12  12  12
    12  12  12  10  11  11  11
    29:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  12  12  12  12  12
    12  12  12  11  10  11  11
    30:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  12  12  12  12  12
    12  12  12  11  11  10  11
    31:  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  32  12  12  12  12  12  12  12  12  12
    12  12  12  11  11  11  10

 ------------------------------------------------------------
 9. /proc/meminfo
    MemTotal:       1585022508 kB

 ------------------------------------------------------------
 10. who -r
   run-level 3 May 19 00:20

 ------------------------------------------------------------
 11. Systemd service manager version: systemd 250 (250-6.el9_0)
   Default Target  Status
   multi-user      running

 ------------------------------------------------------------
 12. Services, from systemctl list-unit-files
   STATE            UNIT FILES
   enabled          NetworkManager NetworkManager-dispatcher NetworkManager-wait-online auditd crond
                    dbus-broker firewalld getty@ irqbalance kdump lvm2-monitor mdmonitor microcode
                    nis-domainname rhsmcertd rsyslog selinux-autorelabel-mark sshd sssd
                    systemd-network-generator tuned udisks2
   enabled-runtime  systemd-remount-fs
   disabled         blk-availability chrony-wait chronyd console-getty cpupower debug-shell hwloc-dump-hwdata
                    kvm_stat man-db-restart-cache-update nftables rdisc rhsm rhsm-facts rpmdb-rebuild
                    serial-getty@ sshd-keygen@ systemd-boot-check-no-failures systemd-pstore systemd-sysext
   indirect         sssd-autofs sssd-kcm sssd-nss sssd-pac sssd-pam sssd-ssh sssd-sudo

 ------------------------------------------------------------
 13. Linux kernel boot-time arguments, from /proc/cmdline
   BOOT_IMAGE=(hd0,gpt2)/vmlinuz-5.14.0-70.13.1.el9_0.x86_64
   root=/dev/mapper/rhel-root
   ro
   resume=/dev/mapper/rhel-swap
   rd.lvm.lv=rhel/root
   rd.lvm.lv=rhel/swap

 ------------------------------------------------------------
 14. cpupower frequency-info
   analyzing CPU 0:
     current policy: frequency should be within 1.50 GHz and 2.25 GHz.
                     The governor "performance" may decide which speed to use
                     within this range.
     boost state support:
       Supported: yes
       Active: yes
       Boost States: 0
       Total States: 3
       Pstate-P0:  2250MHz

 ------------------------------------------------------------
 15. tuned-adm active
   Current active profile: throughput-performance

 ------------------------------------------------------------
 16. sysctl
   kernel.numa_balancing               1
   kernel.randomize_va_space           0
   vm.compaction_proactiveness        20
   vm.dirty_background_bytes           0
   vm.dirty_background_ratio          10
   vm.dirty_bytes                      0
   vm.dirty_expire_centisecs        3000
   vm.dirty_ratio                      8
   vm.dirty_writeback_centisecs      500
   vm.dirtytime_expire_seconds     43200
   vm.extfrag_threshold              500
   vm.min_unmapped_ratio               1
   vm.nr_hugepages                     0
   vm.nr_hugepages_mempolicy           0
   vm.nr_overcommit_hugepages          0
   vm.swappiness                       1
   vm.watermark_boost_factor       15000
   vm.watermark_scale_factor          10
   vm.zone_reclaim_mode                1

 ------------------------------------------------------------
 17. /sys/kernel/mm/transparent_hugepage
   defrag          [always] defer defer+madvise madvise never
   enabled         [always] madvise never
   hpage_pmd_size  2097152
   shmem_enabled   always within_size advise [never] deny force

 ------------------------------------------------------------
 18. /sys/kernel/mm/transparent_hugepage/khugepaged
   alloc_sleep_millisecs   60000
   defrag                      1
   max_ptes_none             511
   max_ptes_shared           256
   max_ptes_swap              64
   pages_to_scan            4096
   scan_sleep_millisecs    10000

 ------------------------------------------------------------
 19. OS release
   From /etc/*-release /etc/*-version
   os-release     Red Hat Enterprise Linux 9.0 (Plow)
   redhat-release Red Hat Enterprise Linux release 9.0 (Plow)
   system-release Red Hat Enterprise Linux release 9.0 (Plow)

 ------------------------------------------------------------
 20. Disk information
 SPEC is set to: /home/cpu2017
   Filesystem            Type  Size  Used Avail Use% Mounted on
   /dev/mapper/rhel-home xfs   372G  9.2G  363G   3% /home

 ------------------------------------------------------------
 21. /sys/devices/virtual/dmi/id
     Vendor:         HPE
     Product:        ProLiant DL365 Gen11
     Product Family: ProLiant
     Serial:         DL365G11-001

 ------------------------------------------------------------
 22. dmidecode
   Additional information from dmidecode 3.3 follows.  WARNING: Use caution when you interpret this section.
   The 'dmidecode' program reads system data which is "intended to allow hardware to be accurately
   determined", but the intent may not be met, as there are frequent changes to hardware, firmware, and the
   "DMTF SMBIOS" standard.
   Memory:
     16x Hynix HMCG94AEBRA103N 64 GB 2 rank 4800
     8x Hynix HMCG94MEBRA121N 64 GB 2 rank 4800


 ------------------------------------------------------------
 23. BIOS
 (This section combines info from /sys/devices and dmidecode.)
    BIOS Vendor:       HPE
    BIOS Version:      1.30
    BIOS Date:         03/06/2023
    BIOS Revision:     1.30
    Firmware Revision: 1.10

Compiler Version Notes

============================================================================================================
C               | 519.lbm_r(base, peak) 538.imagick_r(base, peak) 544.nab_r(base, peak)
------------------------------------------------------------------------------------------------------------
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
------------------------------------------------------------------------------------------------------------

============================================================================================================
C++             | 508.namd_r(base, peak) 510.parest_r(base, peak)
------------------------------------------------------------------------------------------------------------
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
------------------------------------------------------------------------------------------------------------

============================================================================================================
C++, C          | 511.povray_r(base, peak) 526.blender_r(base, peak)
------------------------------------------------------------------------------------------------------------
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
------------------------------------------------------------------------------------------------------------

============================================================================================================
C++, C, Fortran | 507.cactuBSSN_r(base, peak)
------------------------------------------------------------------------------------------------------------
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
------------------------------------------------------------------------------------------------------------

============================================================================================================
Fortran         | 503.bwaves_r(base, peak) 549.fotonik3d_r(base, peak) 554.roms_r(base, peak)
------------------------------------------------------------------------------------------------------------
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
------------------------------------------------------------------------------------------------------------

============================================================================================================
Fortran, C      | 521.wrf_r(base, peak) 527.cam4_r(base, peak)
------------------------------------------------------------------------------------------------------------
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
AMD clang version 14.0.6 (CLANG: AOCC_4.0.0-Build#389 2022_10_07) (based on LLVM Mirror.Version.14.0.6)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /opt/AMD/aocc/aocc-compiler-rel-4.0-3206-389/bin
------------------------------------------------------------------------------------------------------------

Base Compiler Invocation

C benchmarks:

 clang 

C++ benchmarks:

 clang++ 

Fortran benchmarks:

 flang 

Benchmarks using both Fortran and C:

 flang   clang 

Benchmarks using both C and C++:

 clang++   clang 

Benchmarks using Fortran, C, and C++:

 clang++   clang   flang 

Base Portability Flags

503.bwaves_r:  -DSPEC_LP64 
507.cactuBSSN_r:  -DSPEC_LP64 
508.namd_r:  -DSPEC_LP64 
510.parest_r:  -DSPEC_LP64 
511.povray_r:  -DSPEC_LP64 
519.lbm_r:  -DSPEC_LP64 
521.wrf_r:  -DSPEC_CASE_FLAG   -Mbyteswapio   -DSPEC_LP64 
526.blender_r:  -funsigned-char   -DSPEC_LP64 
527.cam4_r:  -DSPEC_CASE_FLAG   -DSPEC_LP64 
538.imagick_r:  -DSPEC_LP64 
544.nab_r:  -DSPEC_LP64 
549.fotonik3d_r:  -DSPEC_LP64 
554.roms_r:  -DSPEC_LP64 

Base Optimization Flags

C benchmarks:

 -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-ldist-scalar-expand   -fenable-aggressive-gather   -O3   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -fstruct-layout=7   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -fstrip-mining   -mllvm -reduce-array-computations=3   -zopt   -lamdlibm   -lamdalloc   -lflang 

C++ benchmarks:

 -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -O3   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -mllvm -unroll-threshold=100   -finline-aggressive   -mllvm -loop-unswitch-threshold=200000   -mllvm -reduce-array-computations=3   -zopt   -lamdlibm   -lamdalloc   -lflang 

Fortran benchmarks:

 -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-enable-X86-prefetching   -O3   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -Kieee   -Mrecursive   -funroll-loops   -mllvm -lsr-in-nested-loop   -mllvm -reduce-array-computations=3   -fepilog-vectorization-of-inductions   -zopt   -lamdlibm   -lamdalloc   -lflang 

Benchmarks using both Fortran and C:

 -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-enable-X86-prefetching   -O3   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -fstruct-layout=7   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -fstrip-mining   -mllvm -reduce-array-computations=3   -zopt   -Kieee   -Mrecursive   -funroll-loops   -mllvm -lsr-in-nested-loop   -fepilog-vectorization-of-inductions   -lamdlibm   -lamdalloc   -lflang 

Benchmarks using both C and C++:

 -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -O3   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -fstruct-layout=7   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -fstrip-mining   -mllvm -reduce-array-computations=3   -zopt   -mllvm -unroll-threshold=100   -finline-aggressive   -mllvm -loop-unswitch-threshold=200000   -lamdlibm   -lamdalloc   -lflang 

Benchmarks using Fortran, C, and C++:

 -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -O3   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -fstruct-layout=7   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -fstrip-mining   -mllvm -reduce-array-computations=3   -zopt   -mllvm -unroll-threshold=100   -finline-aggressive   -mllvm -loop-unswitch-threshold=200000   -Kieee   -Mrecursive   -funroll-loops   -mllvm -lsr-in-nested-loop   -fepilog-vectorization-of-inductions   -lamdlibm   -lamdalloc   -lflang 

Base Other Flags

C benchmarks:

 -Wno-unused-command-line-argument 

C++ benchmarks:

 -Wno-unused-command-line-argument 

Fortran benchmarks:

 -Wno-unused-command-line-argument 

Benchmarks using both Fortran and C:

 -Wno-unused-command-line-argument 

Benchmarks using both C and C++:

 -Wno-unused-command-line-argument 

Benchmarks using Fortran, C, and C++:

 -Wno-unused-command-line-argument 

Peak Compiler Invocation

C benchmarks:

 clang 

C++ benchmarks:

 clang++ 

Fortran benchmarks:

 flang 

Benchmarks using both Fortran and C:

 flang   clang 

Benchmarks using both C and C++:

 clang++   clang 

Benchmarks using Fortran, C, and C++:

 clang++   clang   flang 

Peak Portability Flags

Same as Base Portability Flags

Peak Optimization Flags

C benchmarks:

519.lbm_r:  -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Ofast   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -fstruct-layout=7   -mllvm -unroll-threshold=50   -fremap-arrays   -fstrip-mining   -mllvm -inline-threshold=1000   -mllvm -reduce-array-computations=3   -zopt   -lamdlibm   -lamdalloc 
538.imagick_r:  Same as 519.lbm_r 
544.nab_r:  basepeak = yes 

C++ benchmarks:

508.namd_r:  basepeak = yes 
510.parest_r:  -m64   -flto   -Wl,-mllvm -Wl,-suppress-fmas   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -Ofast   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -finline-aggressive   -mllvm -unroll-threshold=100   -mllvm -reduce-array-computations=3   -zopt   -lamdlibm   -lamdalloc 

Fortran benchmarks:

503.bwaves_r:  -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-enable-X86-prefetching   -Ofast   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -Mrecursive   -mllvm -reduce-array-computations=3   -fepilog-vectorization-of-inductions   -zopt   -lamdlibm   -lamdalloc   -lflang 
549.fotonik3d_r:  basepeak = yes 
554.roms_r:  Same as 503.bwaves_r 

Benchmarks using both Fortran and C:

521.wrf_r:  -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-enable-X86-prefetching   -Ofast   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -fstruct-layout=7   -mllvm -unroll-threshold=50   -fremap-arrays   -fstrip-mining   -mllvm -inline-threshold=1000   -mllvm -reduce-array-computations=3   -zopt   -Mrecursive   -fepilog-vectorization-of-inductions   -lamdlibm   -lamdalloc   -lflang 
527.cam4_r:  -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-enable-X86-prefetching   -O3   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -fstruct-layout=7   -mllvm -unroll-threshold=50   -mllvm -inline-threshold=1000   -fremap-arrays   -mllvm -reduce-array-computations=3   -zopt   -Kieee   -Mrecursive   -funroll-loops   -mllvm -lsr-in-nested-loop   -fepilog-vectorization-of-inductions   -lamdlibm   -lamdalloc   -lflang 

Benchmarks using both C and C++:

511.povray_r:  basepeak = yes 
526.blender_r:  basepeak = yes 

Benchmarks using Fortran, C, and C++:

 -m64   -flto   -Wl,-mllvm -Wl,-align-all-nofallthru-blocks=6   -Wl,-mllvm -Wl,-reduce-array-computations=3   -Wl,-mllvm -Wl,-x86-use-vzeroupper=false   -Ofast   -march=znver4   -fveclib=AMDLIBM   -ffast-math   -fstruct-layout=7   -mllvm -unroll-threshold=50   -fremap-arrays   -fstrip-mining   -mllvm -inline-threshold=1000   -mllvm -reduce-array-computations=3   -zopt   -mllvm -unroll-threshold=100   -mllvm -loop-unswitch-threshold=200000   -finline-aggressive   -faggressive-loop-transform   -fvector-transform   -fscalar-transform   -Mrecursive   -fepilog-vectorization-of-inductions   -lamdlibm   -lamdalloc   -lflang 

Peak Other Flags

C benchmarks:

 -Wno-unused-command-line-argument 

C++ benchmarks:

 -Wno-unused-command-line-argument 

Fortran benchmarks:

 -Wno-unused-command-line-argument 

Benchmarks using both Fortran and C:

 -Wno-unused-command-line-argument 

Benchmarks using both C and C++:

 -Wno-unused-command-line-argument 

Benchmarks using Fortran, C, and C++:

 -Wno-unused-command-line-argument 

The flags files that were used to format this result can be browsed at
http://www.spec.org/cpu2017/flags/aocc400-flags.html,
http://www.spec.org/cpu2017/flags/HPE-Platform-Flags-AMD-Bergamo-rev1.0.html.

You can also download the XML flags sources by saving the following links:
http://www.spec.org/cpu2017/flags/aocc400-flags.xml,
http://www.spec.org/cpu2017/flags/HPE-Platform-Flags-AMD-Bergamo-rev1.0.xml.